UPC EETAC Bachelor's Degree in Telecommunications Systems and in Network Engineering EEL

 

 

EDA tools for synthesis and simulation using VHDL

Lab 1.2


Intel     Xilinx        Lattice Semiconductor

1. EDA tools

We can synthesise and simulate simple circuits using professional VHDL electronic design automation (EDA) (or computer-aided design (CAD)) tools from different vendors. This is the VHDL design flow that includes project management, VHDL source files editing, circuit synthesis and simulation, and chip programmming. Other tools such as timing anaysis are also available.  

  • CAD - EDA project tools: Lattice ispLEVER Classic - Diamond  / Intel Quartus Prime  Lite  / AMD-Xilinx VIVADO -  ISE Webpack
  • Circuit synthesisers are included and integrated in the project environment. Examples of EDA tools for synthesis:

1) Synopsys Synplify Pro Lattice Edition included in ispLEVER Classic.

2) ISE's (or Vivado) Xilinx Synthesis Technology (XST) (Xilinx company was acquired by AMD).

3) Mentor Graphics (this USA company was acquired by Siemens in 2017 and now is Siemens EDA) Precision RTL included in Intel Quartus Prime as an option.  

  • In our introductory versions, VHDL simulators are also included and integrated in the project environment.

Some commonly used CPLD/FPGA chips in CSD:

  

  • INTEL-ALTERA: Quartus II + ModelSim for a target FPGA chip like the Cyclone IV EP4CE115F29C7N. This programmable chip is placed in the DE2-115 board from Terasic.

  

 

In this subject CSD, edit VHDL and C text source files using the Notepad++ enriched text editor (it also contains an spelling checker plug-in). Another similar product that can suit you very well is  the Scriptum text editor, a very convenient free tool for writing VHDL files from the company HDL Works.


Intel Quartus Prime Lite + ModelSim Intel Starter Edition

1. Installation

Your instructor will tell you what release of Intel Quartus Prime Lite software to install in your portable computer. 

Prime environment

Fig. 1. Quartus Prime project navigator.

Currently we are using version 20.1 of Intel Quartus Prime Lite (it includes also ModelSim Intel Starter edition) for Microsoft Windows 10.

 

You can register using your UPC email address and download your own copy of these EDA tools for designing hardware from company webs.

Quartus Prime Lite

Fig. 2. Quartus Prime download center.

Select the combined file in tar zipped format, unzip it, run the setup and select the items below in Fig. 3:

Software
Unzipped file

Fig. 3. Items to install. Basically, Quartus Prime Lite Edition, ModelSim - Intel Starter Edition and the programmable devices Cyclone IV, MAX II and MAX10.

The full package is about 11 GB once installed.


2. Simple example to synthesise

This is a sample design Dec_4_8.vhd from this Dec3_8 tutorial to run the synthesis process and watch the synthesised schematics and check that everything is all right.

RTL

Fig. 4. Example RTL view.

Many resources are available for learning VHDL and EDA tools at Intel web site.


3. VHDL simulation using testbench fixtures

Install in your portable computer the version indicated by your instructor of the  Intel ModelSim Starter software. It is very similar to the ModelSim PE Student Edition but already trimmed for Intel devices. When installing the Quartus Prime, this simulator is also included in the bundle and installs automatically.  

This is ModelSim colour scheme to get a white background and save ink when printing waveforms for your handwritten reports.

ModelSim Intel

Fig. 5. The ModelSim Intel Starter Edition simulator.

This is a testbench fixture to verify that our circuits run correctly.

Fig. 6.

 


Xilinx ISE + ISIM

Xilinx ISE colour scheme (ISim preferences).

 


Lattice ispLEVER Classic /Diamond + ActiveHDL simulator  

 

 


Other similar tutorial exercises

Gate-level simulation.

Terasic DE10-Lite board (intel MAX10 FPGA) setup