onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate -divider {Oscillator CLOCK} add wave -noupdate /timer_mmss_vhd_tst/OSC_CLK_in add wave -noupdate /timer_mmss_vhd_tst/CD add wave -noupdate -divider {Set time buttons} add wave -noupdate /timer_mmss_vhd_tst/ST_up add wave -noupdate /timer_mmss_vhd_tst/ST_down add wave -noupdate -divider {Start button} add wave -noupdate /timer_mmss_vhd_tst/Start add wave -noupdate -divider {Stop button} add wave -noupdate /timer_mmss_vhd_tst/Stop add wave -noupdate -divider Outputs add wave -noupdate -divider {Time MM : SS} add wave -noupdate -radix unsigned /timer_mmss_vhd_tst/DMT add wave -noupdate -radix unsigned /timer_mmss_vhd_tst/DMU add wave -noupdate -radix unsigned /timer_mmss_vhd_tst/DST add wave -noupdate -radix unsigned /timer_mmss_vhd_tst/DSU add wave -noupdate /timer_mmss_vhd_tst/S_LED add wave -noupdate -divider {Timer OUT} add wave -noupdate /timer_mmss_vhd_tst/Timer_LED add wave -noupdate -divider Alarms add wave -noupdate /timer_mmss_vhd_tst/Alarm_LED add wave -noupdate /timer_mmss_vhd_tst/Soundwave add wave -noupdate -divider {internal signals of interest} add wave -noupdate /timer_mmss_vhd_tst/i1/SYS_CLK add wave -noupdate /timer_mmss_vhd_tst/i1/CLK_20Hz_SQ add wave -noupdate /timer_mmss_vhd_tst/i1/CLK_1Hz_SQ add wave -noupdate /timer_mmss_vhd_tst/i1/Chip2/current_state TreeUpdate [SetDefaultTree] WaveRestoreCursors {{Cursor 3} {199994426826 ps} 0} {{Cursor 6} {513987342 ps} 0} {{Cursor 7} {514310000 ps} 0} quietly wave cursor active 3 configure wave -namecolwidth 281 configure wave -valuecolwidth 100 configure wave -justifyvalue left configure wave -signalnamewidth 0 configure wave -snapdistance 10 configure wave -datasetprefix 0 configure wave -rowmargin 4 configure wave -childrowmargin 2 configure wave -gridoffset 0 configure wave -gridperiod 1 configure wave -griddelta 40 configure wave -timeline 0 configure wave -timelineunits us update WaveRestoreZoom {199998688801 ps} {200000069011 ps}